Q1 '25 Equipment Earnings: Hit Or Miss?
Updated: Applied reports results; KLA posts robust results; Lam posts strong results; ASML posts mixed results with a possible tariff surprise for customers
By Mark LaPedus
Semiconductor equipment is an important part of the semiconductor industry.
Basically, in the industry, a large number of semiconductor manufacturers produce chips using an assortment of equipment in large manufacturing facilities called fabs. This equipment can be used to fabricate all types of chips, such as analog devices, GPUs, memory, processors, RF ICs and many others.
Typically, an advanced fab may include 1,200 multimillion-dollar pieces of semiconductor equipment and 1,500 utility tools, according to Intel. It costs anywhere from $10 billion to $20 billion to build and equip a leading-edge fab. Fabs that produce trailing-edge chips cost far less.
Nonetheless, it’s important to track the leading suppliers of semiconductor equipment. This includes the following companies:
Applied Materials
On May 15, Applied Materials reported its results for the second quarter ended April 27. Revenue was $7.10 billion for the quarter, up 7% year-over-year. Net income was $2.137 billion for the quarter, up 24% from the like period a year ago.
“(Applied’s) results and guidance were ahead of consensus on the bottom line rather than the top line. Foundry/logic strength offset a digestion in the ICAPs/200mm (market),” said Krish Sankar, an analyst at TD Cowen, in a research note.
During the quarter, Applied’s China sales were down to 25% of the company’s total revenue, compared to 31% in the prior quarter. The company saw a minimal tariff impact in the quarter.
Foundry/logic sales were 65% of the mix this quarter at $3.4 billion, down 6% quarter-over-year, but up 7% year-over-year, according to TD Cowen. “Memory revenue totaled $1.84 billion in the April '25 quarter, up 7% year-over-year and sequentially and representing 35% of semi sales in the quarter. NAND technology upgrades drove a 2x increase in sales Q/Q, while HBM and DDR5 designs remain a highlight despite lower traditional DRAM demand,” said Sankar.
“We delivered strong performance in our second fiscal quarter with seven percent year-over-year revenue growth, record earnings per share and shareholder distributions of nearly $2 billion,” said Brice Hill, senior vice president and CFO. “Despite the dynamic economic and trade environment, we have not seen significant changes to customer demand and are well-equipped to navigate evolving conditions with our robust global supply chain and diversified manufacturing footprint.”
For the third quarter, Applied’s sales are expected to be $7.200 billion, plus or minus $500 million.
“Applied Materials’ broad capabilities and connected product portfolio are driving strong results in 2025 amidst a highly dynamic macro environment,” said Gary Dickerson, president and chief executive of Applied. “High-performance, energy-efficient AI computing remains the dominant driver of semiconductor innovation, and Applied is working closely with our customers and partners to accelerate the industry’s roadmap. We are very well positioned at major technology inflections in fast-growing areas of the market, which supports our multi-year growth trajectory.”
Meanwhile, earlier this year, Applied introduced a new defect review system to help semiconductor manufacturers continue pushing the limits of chip scaling. The company’s SEMVision H20 system combines electron beam technology with advanced AI image recognition to enable better and faster analysis of buried nanoscale defects in the world’s most advanced chips.
Traditionally, optical techniques are used to scan a wafer for potential defects. Then, e-beam inspection is deployed to better characterize these defects.
In the emerging “angstrom era” – where the smallest chip features can be just a few atoms thick – it is becoming increasingly difficult to differentiate true defects from false alarms.
At today’s most advanced nodes, optical inspection creates much denser defect maps, which can require as much as a 100X increase in the number of defect candidates delivered to the e-beam review system.
Process control engineers increasingly need defect review systems that can analyze exponentially more samples while maintaining the speed and sensitivity required for high-volume production.
That’s where e-beam inspection fits in. “Our new SEMVision H20 system allows the world’s leading chipmakers to better separate the signal from the noise amidst massive amounts of data provided by inspection tools,” said Keith Wells, group vice president of Imaging and Process Control at Applied. “By combining advanced AI algorithms with the superior speed and resolution of our innovative e-beam technology, our system enables rapid identification of the smallest defects buried deep in 3D device structures, delivering faster and more accurate inspection results that can improve factory cycle time and yield.”
Applied’s new e-beam technology is critical for complex 3D architecture inflections required to manufacture logic chips at the 2nm node and beyond – including new gate-all-around (GAA) transistors – as well as the formation of higher-density DRAM and 3D NAND memories.
The new SEMVision H20 system leverages two innovations to classify defects with accuracy, while delivering results as much as 3X faster than today’s most advanced techniques. These innovations include:
*Applied’s “cold field emission” (CFE) technology is a breakthrough in e-beam imaging that enables sub-nanometer resolution for identifying the smallest buried defects. Operating at room temperature, CFE produces a narrower beam with more electrons, thereby increasing nanoscale image resolution by up to 50% and imaging speed by up to 10X compared to conventional thermal field emission (TFE) technology.
*SEMVision H20 uses deep learning AI capabilities for automatic extraction of true defects from false “nuisance” defects. Applied’s proprietary deep learning network is continuously trained with data from a chipmaker’s fab and sorts the defects into a distribution including voids, residues, scratches, particles and dozens of other defect types, enabling more accurate and efficient defect characterization.
KLA
On April 30, KLA reported sales of $3.063 billion for the quarter, up 30% year-over-year but flat quarter-over-quarter.
The company reported a net income of $1.09 billion in the quarter, compared to $825 million in the previous quarter and $602 million in the like period a year ago.
China represented about $796 million, or 26%, of KLA’s quarterly sales, down 28% quarter-over-quarter and down 20% year-over-year, according to TD Cowen.
"KLA's March quarter results were above the midpoint of our guidance ranges and established a strong start to the calendar year. Though global trade dynamics are driving uncertainty across the global economy, to date, we have received no indications of demand changes from our customers for calendar year 2025," said Rick Wallace, president and chief executive of KLA.
For the current quarter, total revenues is expected to be in a range of $3.075 billion, plus or minus $150 million.
“After the close, KLAC reported F3Q25 revenue and EPS that was 2% and 4% above consensus, respectively. For F4Q, its midpoint revenue and EPS guide came in 2% and 7% above, respectively. KLAC indicated that despite trade uncertainty, so far there has been no change in demand or customer planning changes. With respect to revenue performance, KLAC continues to see strong demand in leading-edge logic and HBM, and growth in advanced packaging, all primarily driven by critical investments required for increasing AI-related devices,” said Steve Barger, an analyst at KeyBanc Capital Markets, in a research note.
Demand for inspection and metrology equipment remains robust. “Process control (PC) demand remains healthy as we estimate CY25 segment growth of ~10% driven by leading-edge foundry/logic and improved Y/Y DRAM spending. We believe this is being driven to a large degree by TSMC's Taiwan fab operations, while the Arizona complex is still in early stages of scaling,” said Krish Sankar, an analyst at TD Cowen, in a research note.
KLA continues to see strong demand in the advanced packaging market. “Advanced packaging (AP) revenue target for CY25 remains at $850M+, +70% Y/Y from $500M+ in CY24. While the majority of AP demand is for PC tools, the remaining ~30% of AP will come from specialty process sales. KLAC's opportunities in the space currently are focused on the logic side of CoWoS packaging while HBM stacks could be a future driver. In terms of the sustainability of AP growth longer term, we believe expanding its coverage to hybrid bonding and other 3D stacking technologies along with inspection of substrates in intermediate steps could be avenues for incremental back-end growth,” Sankar said.
Lam
On April 23, Lam Research reported its financial results for the quarter ended March 30. For the March 2025 quarter, revenue was $4.720 billion and net income was $1.331 billion, or $1.03 per diluted share on a U.S. GAAP basis.
This compares to revenue of $4.376 billion and net income of $1.191 billion, or $0.92 per diluted share, for the quarter ended Dec. 29, 2024. For the March 2024 quarter, revenue was $3.794 billion and net income was $966 million, or $7.34 per diluted share on a U.S. GAAP basis.
China represented 31% of Lam’s total sales, which is flat quarter-over-quarter, according to KeyBanc Capital Markets. According to KeyBanc, here were the growth drivers for Lam in the quarter:
*NAND sales growth driven by technology conversions from 1xx layer (~2/3 of current installed base) to 256-layer class devices.
*DRAM spend focused on technology upgrades across 1a, 1b, and 1g nodes to enable DDR5, LPDDR5, and high bandwidth memory (HBM).
*Foundry sales hit new record dollar level driven by gate-all-around and advanced packaging.
For the quarter ended June 29, 2025, Lam’s sales are expected to be $5.00 billion, plus or minus $300 million. "Our outlook remains strong even as we address near-term tariff-related uncertainty, and we are highly confident in our ability to outperform semiconductor industry growth in the years to come," said Tim Archer, Lam Research's president and chief executive.
“LRCX reported F3Q25 revenue (and) EPS that were 2% and 3% above consensus, respectively, and guided F4Q revenue and EPS 9% and 23% above, respectively, which would represent another record margin quarter,” said Steve Barger, an analyst at KeyBanc Capital Markets, in a research note.
“LRCX’s tone was confident on the call, and at this point it sees no changes to customer plans. It expects ~$100B in WFE spend this year, and it remains focused on outperforming the market. Echoing its analyst day message, LRCX believes that outgrowth will come from SAM growth that will outpace WFE, market share gains from new products, and CSBG growth that will exceed installed base growth,” he said.
“As detailed in our upgrade note, this rings true to us as LRCX benefits from leading-edge products like gate-all-around, backside power delivery, and advanced packaging. Those leading-edge architectures should drive deposition and etch intensity where LRCX has leading market positions. Additionally, LRCX should benefit from an equipment upgrade cycle driven by higher-layer-count NAND and DRAM and logic tool repurposing (which should also benefit sub-system names like AEIS and MKSI),” he said.
ASML
On April 16, ASML reported mixed results for the first quarter of 2025. The company’s outlook is also mixed due to uncertainties with the worldwide economy, tariffs and geopolitical issues.
ASML will likely be impacted by the tariffs. The company may end up passing on the cost of the tariffs to its lithography customers. That’s still unclear. Meanwhile, on the positive side, ASML achieved some major milestones in the extreme ultraviolet (EUV) lithography arena during the quarter.
ASML, the leading supplier of lithography systems, reported sales of €7.7 billion (US$8.8 billion) for the quarter, down 16% from the previous quarter but up 46% from the like period a year ago. Net income was €2.4 billion (US$2.7 billion) for the quarter, compared to €2.7 billion (US$3.1 billion) in the previous quarter, and €1.2 billion ($1.4 billion) a year ago.
ASML’s first-quarter sales were slightly below Wall Street’s estimates, but its earnings per share were above the consensus.
Net system sales were driven by logic at 60% and the remaining 40% coming from memory. Sales of EUV lithography tools were 56% of ASML’s overall system revenues during the quarter. ASML shipped 14 EUV tools during the quarter, compared to TD Cowen’s estimates for 12 systems.
ASML’s EUV sales are driven by leading-edge foundry vendors, which are ramping up their 2nm processes. “CY25 memory spending is expected to be at similar levels as CY24, and 2nm foundry demand will be a key driver near term,” said Krish Sankar, an analyst with TD Cowen, in a research note.
“China represented 27% of March '25 quarter revenue (-19% quarter/quarter and -20% year/year) and a similar percent to the prior quarter,” Sankar said. “We expect regional concentration to decline moderately through CY25 towards a more normalized level of ~25%, in line with the composition of ASML's backlog.”
During the quarter, ASML achieved some milestones on both its low-NA (0.33 NA) and high-NA (0.55 NA) EUV platforms. During the first quarter, some 70%+ of the company’s shipments involved ASML’s latest 0.33 NA EUV system, dubbed the NXE:3800E. This system is in production at various chipmakers.
“We started to upgrade our systems in the field to its final 220 wafers per hour configuration this quarter and will continue the roll out on the installed fleet through 2025. We now ship all new NXE:3800E systems at full specification. In addition, our NXE:3800E maturity is reaching the level needed to support high-volume manufacturing and several logic and memory customers are ramping their most advanced nodes using this system,” said Christophe Fouquet, chief executive of ASML.
ASML is also making progress with high-NA EUV, where it has shipped tools to Intel, Samsung and TSMC. Intel has installed two of ASML’s high-NA EUV tools. ASML’s high-NA systems are still in R&D.
“We shipped our 5th and final EXE:5000 high-NA system in Q1 and now have systems at three different customers, with the follow on high-NA system model, the EXE:5200, shipping from Q2 of this year,” Fouquet said.
“As we have described before, there are 3 phases of technology insertion our customers will follow with high-NA. We are currently in phase 1 where our customers take a system into to their R&D facilities and work with us to understand the value and capability of high-NA for their next nodes. In phase 2, which we expect to take place in 2026-2027, customers will start running the systems on 1-2 layers to test its readiness for volume manufacturing. And phase 3 when customers design in high-NA on their most critical layers in their most advanced nodes and run in volume manufacturing,” he said.
Going forward, meanwhile, ASML provided a mixed outlook. For the second quarter of 2025, ASML’s sales are expected to be between €7.2 billion (US$8.2 billion) and €7.7 billion ($8.8 billion).
“Turning to the markets, and consistent with our view from last quarter, the growth in artificial intelligence remains the key driver for growth in our industry. If AI demand continues to be strong, and customers are successful in bringing on additional capacity to support that demand, there is potential opportunity towards the upper end of our range. On the other hand, there is still quite some uncertainty for a number of our customers that can lead to the lower end of our range,” Fouquet said.
ASML is still assessing the impact of the tariffs. A worldwide trade war, which involves tariffs, was first launched by the Trump administration. Other nations have retaliated.
“Tariff impact is hard to quantify given negotiations are ongoing and that the largest impact to be felt is likely lower macro spending and demand destruction,” TD Cowen’s Sankar said. “From an operational perspective, ASML is working on managing (to) pass through costs (thus a wider GM outlook range) to customers and highlighted (three) areas of impact: (1) full system shipments to USA may incur a certain % tariff; (2) servicing, upgrades, and repairs taking place in USA may have higher input costs as parts shipped into the US may be subject to tariffs; (3) BOM for sourced products used in ASML's USA manufacturing facilities and potential reciprocal tariffs on US exports from said locations.”
ASML operates a manufacturing facility in San Diego, Calif., where the company develops lithography light sources. It has a facility in Wilton, Conn., where employees work on optical fabrication, sensor technology, and system integration, according to TD Cowen.