Q4 Equipment Earnings: Hit Or Miss?
Updated: Applied's mixed results; TEL's WFE outlook for '25 and '26
By Mark LaPedus
Semiconductor equipment is an important part of the semiconductor industry.
Basically, in the industry, a large number of semiconductor manufacturers produce chips using an assortment of equipment in large manufacturing facilities called fabs. This equipment can be used to process all types of chips, such as analog devices, GPUs, memory, processors, RF ICs and many others.
Typically, an advanced fab may include 1,200 multimillion-dollar pieces of semiconductor equipment and 1,500 utility tools, according to Intel. It costs anywhere from $10 billion to $20 billion to build and equip a leading-edge fab. Fabs that produce trailing-edge chips cost far less.
Nonetheless, it’s important to track the leading suppliers of semiconductor equipment. This includes the following companies:
Applied Materials
On Feb. 13, Applied Materials reported its results for its first fiscal quarter ended Jan. 26. Revenue was $7.17 billion for the quarter, up 7% year-over-year. Net income was $1.185 billion, or $1.45 per share, for the quarter, compared to $2.019 billion, or $2.41 per share, in the like period a year ago.
Applied beat Wall Street’s expectations for the quarter. But the company’s outlook for its second fiscal quarter was below Wall Street’s consensus. In 2025, the company is expected to be impacted by lower sales in China.
Still, thanks to the AI boom, Applied and other equipment makers remain bullish about current and future demand in the industry. “The industry drive to accelerate the development of advanced compute and more sophisticated AI is gaining momentum,” said Gary Dickerson, president and chief executive of Applied.
Nonetheless, for its first fiscal quarter, Applied’s system sales were $5.356 billion, up 9% year-over-year, according to KeyBanc Capital Markets. Some 68% of those sales were in the foundry/logic space, 28% were in DRAM, and 4% in NAND, according to KeyBanc.
Foundry/logic sales were up 20% year-over-year, due to strong demand at the leading edge, according to the firm. The sales were driven by strong tool demand for gate-all-around (GAA) and backside power delivery (BPD) applications at leading-edge foundry vendors.
“DRAM sales (were) down 10% year-over-year on lower China demand; NAND sales (were) up 9% year-over-year but remain near historic lows,” said Steve Barger, an analyst at KeyBanc, in a research note.
Going forward, it’s a mixed bag for Applied. For its second fiscal quarter, Applied’s sales are expected to be $7.1 billion, plus or minus $400 million.
In the foundry/logic space, the company is expected to see ongoing demand for tools at leading-edge nodes. Tool demand for DRAM remains healthy, while the NAND market is expected to grow.
But due to new trade restrictions in China, Applied’s sales are expected to be impacted by about $400 million for its 2025 fiscal year. “Half of this impact will be in the April quarter as China revenues are also expected to decline to ~26% of the mix versus 31% in the January quarter,” said Krish Sankar, an analyst with TD Cowen, in a research note.
Other equipment makers are also expected to be impacted by the new trade restrictions in China. Lam Research is expected to be impacted by $700 million in 2025, due to the trade restrictions in China, according to TD Cowen. KLA is expected to be impacted by $500 million in 2025, according to the firm.
“Despite the incremental export control effects, growth from leading-edge foundry/logic can drive FY25 (for Applied),” Sankar said.
TEL
On Feb. 6, TEL posted its results. In the third quarter, TEL generated net sales of 654.5 billion yen (US$4.3 billion), a 15.5% increase from the previous quarter. Net income attributable to owners of parent was 157.2 billion yen, a 33.6% increase from the previous quarter.
TEL also announced it will expand Tokyo Electron Miyagi, a manufacturing subsidiary, by constructing a new production building at the Miyagi plant (Miyagi Prefecture, Japan). The plant will produce various semiconductor manufacturing equipment, including plasma etch systems. Construction of the new production building is scheduled to begin in the summer of 2025 and will be completed in the summer of 2027.
Meanwhile, during a recent conference call, TEL presented a mixed outlook for the overall wafer fab equipment (WFE) market. “We believe the WFE market landed last year, CY2024, at $110 billion in size, exceeding the original expectation by about $10 billion, because leading-edge technology investment to AI servers was very active and delivery to Chinese customers were pulled forward,” said Toshiki Kawai, president and chief executive of TEL, during the call.
“CY2025 WFE market size is expected to be comparable with last year around $110 billion, due to the pulled-forward delivery and a lull in investment to automotive semiconductors and power semiconductors, as well as in mature-node investment by emerging Chinese IC vendors,” Kawai said. “Though the current forecast is slightly lower than that of three months ago, very strong demand for AI semiconductors keeps growing, and along with the technology evolution, driven by advanced logic and HBM, the WFE market size will continuously achieve record-high level.”
2026 also looks strong. “Also in CY2026, growth of AI related investment will drive the WFE market. GPU and ASIC for AI servers will shift to the 3nm node from the current 4nm node. HBM to be used will evolve from the current 8-layer stacking to 12- and 16-layer stacking while proceeding with device scaling,” Kawai said. “In addition, full-swing introduction of AI to the edge side, such as smartphone and PC, will start, which will trigger demand growth for 2nm-node logic and DDR5. Due to these drivers, the WFE market is expected to show a double-digit growth.”
Onto
On Feb. 6, 2025, Onto Innovation reported its financial results for the fourth quarter and full year of 2024. Citing demand for its products at the leading-edge nodes as well as for advanced packaging, Onto reported record revenue of $264 million in the fourth quarter of 2024. This is compared to sales of $252.2 million in the previous quarter and $218.9 million in the like period a year ago.
The supplier of metrology and lithography equipment reported a GAAP net income of $48.8 million for the fourth quarter, compared to $53.1 million in the previous quarter and $30.3 million in the like period a year ago.
In 2024, the company reported sales of $987 million, up 21% over 2023. For the first fiscal quarter ending March 29, 2025, the company is providing the following guidance:
•Revenue is expected to be in the range of $260 to $274 million.
•GAAP diluted earnings per share is expected to be in the range of $1.14 to $1.28.
Michael Plisinski, chief executive of Onto Innovation, commented, “Onto Innovation finished the year strongly, carried forward by investments in AI, power semiconductors, and new gate all around transistor technology. The current market momentum in AI packaging and increased demand from the advanced nodes combined with the new products we recently launched position us to continue to address the challenges our customers face today and into the future.”
KLA
On Jan. 30, 2025, KLA reported sales of $3.077 billion for the quarter, up 24% year-over-year and up 8% quarter-over-quarter.
Net income was $825 million for the quarter, compared to $946 million in the previous period and $583 million in the like period a year ago.
"KLA's December quarter results were above the midpoint of our guidance ranges despite navigating through the business impact of new U.S. government export controls released late in the quarter,” said Rick Wallace, president and chief executive of KLA. "The return to growth at the leading-edge continues to gain momentum led by expanding AI and high-performance computing investments.”
For the current quarter, KLA is expected to realize sales of $2.95 billion, plus or minus $150 million.
“Guidance came in above expectations driven by resilient DRAM and foundry/logic spending and growth in NAND (expected to be up 78% sequentially into the March quarter but is still 55% below prior cycle average levels). KLAC expects China to normalize towards 29% of total sales in C25 (from 41% in C24), which suggests 20% decline in China revenues,” said Krish Sankar, an analyst at TD Cowen, in a research note.
“Fundamentals remain strong, and management sounded confident in its position in GAA (gate-all-around), which along with HBM (high bandwidth memory), is expected to be the main driver for higher process control intensity this year,” Sankar said. “We note that more use of inspection tools in GAA is needed to make sure that silicon germanium is completely etched away and to detect small defects that can damage a more expensive wafer (GAA wafers estimates to cost +$25K versus $18K for 3nm logic wafers).”
Lam Research
On Jan. 29, 2025, Lam Research reported sales of $4.38 billion for its second fiscal quarter ended Dec. 29, 2024, up 5% from the previous quarter and up 16% from a year ago.
Net income was $1.191 billion, or $0.92 per share, for the quarter. This compares to net income of $1.116 billion, or $0.86 per share, for the previous quarter.
“LRCX reported F2Q revenue and EPS that beat consensus by 2% and 4%, respectively, and provided midpoint F3Q guidance that was 7% and 14% ahead of consensus,” said Steve Barger, an analyst at KeyBanc Capital Markets, in a research note.
During the quarter, the foundry sector represented 35% of Lam’s system sales, driven by the development of gate-all-around (GAA) transistor technology at leading-edge foundries, according to KeyBanc.
NAND represented 24% of Lam’s system sales during the quarter. “Expect several hundred million dollars in NAND shipments for Lam in CY25 specifically from transitions to molybdenum and carbon gap fill technologies, with future upside as the installed base converts to higher layer counts (2-300+),” Barger said.
During the quarter, DRAM represented 26% of Lam’s system sales, according to KeyBanc. Lam realized 31% of its sales in China during the quarter. On the downside, the new export controls in China could impact Lam’s sales in 2025.
For the upcoming quarter, Lam expects sales of $4.65 billion, plus or minus $300 million.
In recent times, Lam has expanded its product portfolio. Last month, Lam introduced Dextro, a collaborative robot (cobot) designed to optimize critical maintenance tasks on wafer fabrication equipment. Now deployed in multiple advanced wafer fabs around the world, Dextro enables high-precision maintenance to minimize tool downtime and production variability.
Lam also announced that its dry resist technology has been qualified for direct-print 28nm pitch back-end-of-line (BEOL) logic at 2nm and below by Imec.
ASML
On Jan. 29, 2025, ASML, the leading supplier of lithography equipment, reported sales of €9.3 billion (US$9.7 billion) in the fourth quarter of 2024, compared to €7.5 billion (US$7.8 billion) in the previous quarter and €7.2 billion (US$7.5 billion) a year ago.
ASML reported a net income of €2.7 billion (US$2.8 billion) in the fourth quarter of 2024, compared to €2.1 billion (US$2.2 billion) in the previous quarter and €2 billion (US$2.1 billion) a year ago.
ASML’s results were above Wall Street’s expectations. System sales were up 20% quarter-over-quarter and up 25% year-over-year, according to TD Cowen.
EUV sales were up 40% quarter-over-quarter, according to TD Cowen. ASML recognized revenue on 14 EUV lithography tools during the quarter. Two of those systems were high-NA EUV systems, which are installed at Intel. Another high-NA EUV tool was shipped to an undisclosed Asia-based customer.
In the third quarter of 2024, ASML recognized revenue from 11 EUV tools. For 2024, the company recognized revenue on 44 EUV systems, compared to 53 tools in 2023.
Logic represented 61% of the company’s sales during the fourth quarter of 2024, while memory accounted for 39%. China sales declined to 27% of system sales in the fourth quarter, compared to 47% in the previous quarter, according to TD Cowen.
Going forward, ASML expects that its first-quarter total net sales will range between €7.5 billion (US$7.8 billion) and €8.0 billion (US$8.3 billion).
ASML is bullish about 2025. “Looking at market segments we currently expect logic to be up versus 2024 with the ramp of leading-edge nodes while we expect memory to remain strong, similar to 2024. With respect to our installed base business, we expect revenue to grow versus 2024 driven by both service and upgrades as part of a growing install base, to which EUV's contribution is continuing to grow,” said ASML President and Chief Executive Christophe Fouquet.
“Our China business in 2023 and 2024 was relatively high because of our ability to execute on a backlog that was created after low order fill rates in previous years. For 2025 and beyond, we expect our China business to go back to a more normalized percentage of our sales,” Fouquet said.
Fouquet provided an update on ASML’s latest 0.33 NA EUV and high-NA EUV scanners. “On our low NA EUV technology, the NXE:3800E, we demonstrated the full system specification in our factory with 220 wafers per hour throughput at a new record overlay. We are on track to deliver new systems at full specification and start upgrades for the systems already at our customers during the first half of 2025,” he said.
“On high-NA EUV, we completed the installation and customer acceptance on two systems in Q4. Customers have now run over ten thousand wafers on high-NA systems and their feedback has been very positive. They are seeing major performance benefits in imaging, overlay and contrast which also provide significant cost reduction opportunities for both logic and DRAM processes. We continue to work with our customers to define the exact insertion point for high-NA in their processes,” he added.
More equipment news
*Teradyne and Infineon have entered into a strategic partnership to advance power semiconductor test. Teradyne will acquire part of Infineon’s automated test equipment team in Regensburg, Germany. Teradyne is committed to the 80-person team at Infineon’s Regensburg site and plans to build upon these capabilities as it integrates together with its Power Semiconductor business unit.
*Advantest has entered into small minority investments and partnership agreements with both Technoprobe and FormFactor. Advantest acquired 2.5% of Technoprobe's outstanding primary shares. As part of both investments, Advantest has formed strategic partnerships with each that involve technology and printed circuit board (PCB) manufacturing collaboration.
*Nova has completed the acquisition of Sentronics Metrology GmbH, a privately-held company headquartered in Germany. The transaction is valued at approximately $60 million in cash. Sentronics is a provider of wafer metrology tools for backend semiconductor fabrication. The company develops metrology tools equipped with multiple sensors for a variety of critical dimension applications, including thickness, roughness and topography.
*Wooptix, a semiconductor metrology vendor, has raised over €10 million in a Series C funding round. The round was led by Samsung Venture Investment and Spanish Society for Technological Transformation (SETT) with participation from existing investors, including the European Innovation Council Fund, Intel Capital, MONDRAGON, and TEL Venture Capital. Wooptix is a leader in semiconductor metrology through the use of wavefront phase imaging, a technique derived from research in adaptive optics for astronomy.